学位论文 > 优秀研究生学位论文题录展示

基于MIPI规范的LCD驱动接口设计

作 者: 苏晓峰
导 师: 蔡敏;梁丕树
学 校: 华南理工大学
专 业: 集成电路工程
关键词: 移动产业处理器接口 Verilog硬件描述语言 液晶显示驱动接口
分类号: TN873.93
类 型: 硕士论文
年 份: 2011年
下 载: 94次
引 用: 0次
阅 读: 论文下载
 

内容摘要


随着智能移动设备的发展,移动设备显示屏尺寸不断增大、处理器频率不断提高,需要传输的数据量也不断增加,同时要求设备保持高性能低功耗,传统的显示接口已经逐渐显露出弊端。因此基于MIPI规范的显示接口应运而生,MIPI-DSI是一种低功耗、抗干扰能力强的高速串行接口。本文基于MIPI规范,设计了可应用于HVGA尺寸的LCD驱动接口电路。本文先从课题背景与研究现状着手,简要的说明MIPI规范的协议内容,给出整体的系统架构,然后在协议的物理传输层、通道管理、底层协议、应用层进行详细的电路分析设计与实现,模块设计采用Verilog HDL进行RTL级描述,最后建立仿真平台并用VCS进行仿真,编写约束文件用DC进行综合,同时给出仿真波形与综合结果。本文的创新点主要有:优化系统结构、精简系统的非必须功能、通道控制电路状态机采用三段式的独热码编码。在系统结构方面,将物理传输层中的高速逻辑部分单独组成一个功能模块,便于后续的综合优化与时序分析;在精简系统功能方面,本接口支持时钟通道和一个数据通道(最多可支持4通道),去掉了8b9b编码功能以及芯片的测试模块功能等,较大幅度地降低了系统功耗并减少了电路面积;将时钟通道和数据通道中状态转换所用的状态机采用三段式的独热码进行编码,简化了译码逻辑,降低了毛刺产生的概率,增强了电路状态转换的稳定性;同时将设计中部分状态转化时间和功能开闭进行参数化配置,提高应用的灵活性。经过仿真验证,本设计主要功能符合技术要求。工艺库采用Maxchip 0.11μm,综合后的功耗为3.7971mW,面积为59056.0812μm2,最高工作频率为500MHZ。

全文目录


摘要  5-6
Abstract  6-10
第一章 绪论  10-13
  1.1 课题背景  10-11
  1.2 研究现状  11-12
  1.3 论文主要工作及内容安排  12-13
第二章 MIPI 规范简介  13-20
  2.1 专业术语及缩略语简介  13-14
  2.2 DSI 简介  14-16
    2.2.1 DSI 分层定义  14-16
  2.3 DCS 简介  16-17
  2.4 DBI 简介  17-18
  2.5 DPI 简介  18-19
  2.6 本章小结  19-20
第三章 整体架构设计  20-26
  3.1 技术要求指标  20-21
  3.2 系统架构定义  21-25
    3.2.1 物理传输层模块架构  22-23
    3.2.2 通道管理模块架构  23
    3.2.3 底层协议模块架构  23-24
    3.2.4 应用层模块架构  24-25
  3.3 本章小结  25-26
第四章 电路详细设计方案与分析  26-66
  4.1 物理传输层模块设计  26-44
    4.1.1 时钟通道控制模块  29-32
    4.1.2 数据通道控制模块  32-40
      4.1.2.1 数据通道管理单元  33-36
      4.1.2.2 低功耗接收(LP_RX)模块  36-38
      4.1.2.3 低功耗发送(LP_TX)模块  38-40
    4.1.3 高速逻辑单元  40-44
      4.1.3.1 高速时钟丢失检测模块  40-41
      4.1.3.2 高速时钟恢复和产生模块  41
      4.1.3.3 高速数据接收模块  41-44
  4.2 通道管理与底层协议模块设计  44-59
    4.2.1 通道管理模块  44-46
      4.2.1.1 低功耗接收模块  44-45
      4.2.1.2 高速接收模块  45
      4.2.1.3 通道融合模块  45-46
    4.2.2 底层协议模块架构及数据包组成  46-48
    4.2.3 错误纠正(ECC)模块设计  48-51
    4.2.4 CRC 模块设计  51-54
    4.2.5 数据包译码模块设计  54-55
    4.2.6 数据包编码电路设计  55-57
    4.2.7 ESC 发送模块设计  57-58
    4.2.8 控制中心模块设计  58-59
  4.3 应用层(APP)模块设计  59-64
    4.3.1 DSI 转DPI 模块设计  60-61
    4.3.2 DSI 转DBI 模块设计  61-63
    4.3.3 DCS 转DBI 模块设计  63
    4.3.4 DBI 转DSI 读模块设计  63-64
    4.3.5 IO 总线控制模块设计  64
  4.4 本章小结  64-66
第五章 平台的搭建及电路仿真、综合结果分析  66-80
  5.1 仿真、综合环境平台的搭建  66
  5.2 验证平台的搭建  66-67
  5.3 仿真测试用例及结果分析  67-77
    5.3.1 模块初始化过程识别  67-68
    5.3.2 高速模式  68-71
      5.3.2.1 进入及退出高速模式序列  69
      5.3.2.2 高速模式下接收数据  69-70
      5.3.2.3 高速时钟丢失检测  70-71
    5.3.3 超低功耗模式(ULPS)的进入及退出序列测试  71-72
    5.3.4 LPDT 模式下数据传输测试  72-73
    5.3.5 总线控制权交换(TA)的测试  73-74
    5.3.6 Trigger 信号及TE 信号有效性的测试  74-75
    5.3.7 从机端应答模式(ACK)测试  75-76
    5.3.8 DCS 命令写操作测试  76-77
  5.4 代码综合及优化  77-79
  5.5 小结  79-80
总结  80-81
参考文献  81-83
致谢  83-84
附件  84

相似论文

  1. 基于FPGA的的图像融合系统设计研究,TP391.41
  2. 基于FPGA的JPEG编码算法的优化设计与硬件实现,TN919.81
  3. 双向音频光端机的设计与研究,TN919.82
  4. GPON系统ONU MAC层下行链路的研究与设计,TN929.1
  5. 基于CCD的图像采集和处理系统,TP274.2
  6. CPLD在高功率CO_2激光器开关电源驱动中的应用,TN791
  7. SDH数字交叉连接系统的设计与实现,TN914.332
  8. 基于CycloneII系列FPGA的图像实时采集与预处理系统研究,TP274.2
  9. 汽轮机末级蒸汽干度测量的数据处理方法的研究,TP274
  10. 基于FPGA的图像压缩系统的设计与实现,TN791
  11. 远程USB光纤传输系统的研究与设计,TP334.7
  12. WCDMA中Viterbi译码器IP核的研究与实现,TN929.533
  13. 基于FPGA的高速IIR数字滤波器设计与实现,TN713.7
  14. OFDM技术在中压电力线通信中的应用及其FPGA实现,TN791
  15. SDH数字交叉连接系统设计研究,TN914.332
  16. 基于USB接口的CMOS图像传感器评估板的设计,TP212
  17. STM-1/VC-4高阶复用器的设计,TN914.332
  18. 低功耗、多主接口、多图层的液晶控制器设计,TN873.93
  19. 液晶显示之基于五帧循环Fi-FRC像素抖动算法,TN873.93
  20. TFT LCD RGB显示模组的设计与测试,TN873.93

中图分类: > 工业技术 > 无线电电子学、电信技术 > 无线电设备、电信设备 > 终端设备 > 显示设备、显示器 > 液晶显示器
© 2012 www.xueweilunwen.com