学位论文 > 优秀研究生学位论文题录展示

基于SystemC系统设计的Turbo编解码器

作 者: 李源
导 师: 徐友云;赵立晋
学 校: 上海交通大学
专 业: 电子与通信工程
关键词: SystemC Turbo码 系统级设计 寄存器传输级 FPGA 测试平台
分类号: TN764
类 型: 硕士论文
年 份: 2009年
下 载: 39次
引 用: 0次
阅 读: 论文下载
 

内容摘要


本文在简述SystemC的设计方法和流程的基础上,针对SystemC在硬件芯片系统级设计寄存器传输级设计的特点,以Turbo编解码器为对象和开发目的,研究了SystemC基于系统级及寄存器传输级设计的可实现性。利用SystemC的模块化功能,通过分析Turbo编解码器的结构与信号流图,对Turbo编译码算法进行了详细的理论推导与分析。本文完成了其算法的工程化简化,在存储空间和计算速度等方面进行了算法层面的优化,在SystemC上进行了建模仿真直到完成基于工程化算法的硬件模块划分与编程,并最后利用相同的测试平台FPGA完成其核心算法的实现与验证。上述工作充分证明了SystemC完全适用于基于系统级设计和寄存器传输级设计的IC应用,不论是对Turbo码在不同现实应用中的简化实现,还是对基于SystemC完成核心算法硬件流程上均具有创新性的意义。本设计的重要意义在于,此设计将系统级设计与寄存器传输级设计的工作合二为一,大大节省了开发和验证的流程与时间,并为将来的IC设计实现提供了一个更加广阔的空间。

全文目录


摘要  5-6
ABSTRACT  6-9
第一章 绪论  9-15
  1.1 本文概述  9-10
  1.2 传统的系统级设计方法  10-11
  1.3 基于SystemC 的设计思想和设计流程  11-12
  1.4 Turbo 码简介  12-13
  1.5 本章小结及论文结构  13-15
    1.5.1 本章小结  13-14
    1.5.2 本人的工作与论文结构  14-15
第二章 System C 设计方法  15-23
  2.1 SystemC 背景简述  15
  2.2 SystemC 设计原理方法  15-18
  2.3 System C 语言描述  18-22
  2.4 本章小结  22-23
第三章 Turbo 码理论与技术基础  23-36
  3.1 信道编码的原理简述  23-24
  3.2 Turbo 编译码理论  24-35
    3.2.1 Turbo 编码  25-26
    3.2.2 Turbo 译码  26-34
    3.2.3 Log-Map 算法工程化实现过程综述  34-35
  3.3 本章小结  35-36
第四章 Turbo 编解码器的System C 实现与仿真  36-56
  4.1 Turbo 编码器  36-39
    4.1.1 Turbo 编码器的SystemC 实现  36-38
    4.1.2 Turbo 编码器SystemC 验证仿真  38-39
  4.2 Turbo 解码器  39-55
    4.2.1 Turbo 解码器的SystemC 设计  39-44
    4.2.2 Turbo 解码器的SystemC 实现  44-53
    4.2.3 Turbo 解码器SystemC 验证仿真  53-55
  4.3 本章小结  55-56
第五章 Turbo 编解码器的FPGA 实现与验证  56-66
  5.1 FPGA 设计原理  56-58
  5.2 Turbo 编码器 FPGA 系统实现  58-60
  5.3 Turbo 解码器 FPGA 系统实现  60-64
  5.4 FPGA 逻辑综合与验证  64-65
  5.5 本章小结  65-66
第六章 全文总结  66-68
  6.1 主要结论  66
  6.2 研究展望  66-68
参考文献  68-70
缩略语表  70-71
致谢  71-72
攻读硕士学位期间已发表的论文  72

相似论文

  1. 基于FPGA的电磁超声检测系统的研究,TH878.2
  2. 基于FPGA的五相PMSM驱动控制系统的研究,TM341
  3. LXI任意波形发生器研制,TM935
  4. 基于FPGA的射频功放数字预失真器设计,TN722.75
  5. 突发OFDM系统同步与信道估计算法及FPGA实现,TN919.3
  6. 直扩系统抗多径性能分析及补偿方法研究,TN914.42
  7. 基于均值匹配的Turbo码联合译码的Matlab实现,TN911.22
  8. 电视制导系统中视频图像压缩优化设计及实现研究,TN919.81
  9. IEEE802.16e信道编译码算法研究,TN911.22
  10. 基于FPGA的多用户扩频码捕获研究及硬件仿真,TN914.42
  11. 基于FPGA的数字图像处理基本算法研究与实现,TP391.41
  12. 基于FPGA的高速图像预处理技术的研究,TP391.41
  13. 基于FPGA的高速数字图像采集与接口设计,TP274.2
  14. 基于FPGA的电感传感器数据采集系统的研制,TP274.2
  15. 基于Nios的串行总线分析仪研制,TP274
  16. 基于FPGA-RocketIO_X的PMC高速数据传输板开发,TP274.2
  17. PXI高性能数字I/O模块研制,TP274
  18. LXI计数器研制,TP274
  19. 基于FPGA的高速实时数据采集系统,TP274.2
  20. 基于Nios Ⅱ的GPS信息接收系统设计,TN967.1
  21. 温压炸药爆炸温度场存储测试技术研究,TQ560.7

中图分类: > 工业技术 > 无线电电子学、电信技术 > 基本电子电路 > 调制技术与调制器、解调技术与解调器 > 解码器
© 2012 www.xueweilunwen.com